DIY-Calculator Hardware:Downloads

From DIY-Calculator_Hardware

(Difference between revisions)
Jump to: navigation, search
Revision as of 08:49, 14 October 2006
Hzulu (Talk | contribs)

← Previous diff
Revision as of 10:03, 14 October 2006
Hzulu (Talk | contribs)

Next diff →
Line 1: Line 1:
-'''9.Oct.2006''': new versions of VHDL modules and ROM monitor (SPI flash controller added):+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;9.Oct.2006''':&nbsp;new versions of VHDL modules and ROM monitor (SPI flash controller added):;
 +</div>
* [[:Media:diy_calculator-0.8.zip|diy_calculator-0.8.zip]] * [[:Media:diy_calculator-0.8.zip|diy_calculator-0.8.zip]]
* [[:Media:address_decoder-1.4.zip|address_decoder-1.4.zip]] * [[:Media:address_decoder-1.4.zip|address_decoder-1.4.zip]]
Line 6: Line 10:
* [[:Media:spi_ctrl-0.1.zip|spi_ctrl-0.1.zip]] * [[:Media:spi_ctrl-0.1.zip|spi_ctrl-0.1.zip]]
* [[:Media:monitor-0.5.zip|monitor-0.5.zip]] * [[:Media:monitor-0.5.zip|monitor-0.5.zip]]
 +</div>
 +</div>
-'''28.Sep.2006''': utility to crop off RAM area at the end of the .mem file: [[:Media:adj_mem-1.0.tar.gz|adj_mem-1.0.tar.gz]]+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;28.Sep.2006''':&nbsp;utility to crop off RAM area at the end of the .mem file:
 +</div>
 +* [[:Media:adj_mem-1.0.tar.gz|adj_mem-1.0.tar.gz]]
 +</div>
 +</div>
-'''22.Sep.2006''': current version of the ROM monitor:+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;22.Sep.2006''':&nbsp;current version of the ROM monitor:
 +</div>
* [[:Media:monitor-0.4.zip|monitor-0.4.zip]] (single step code included) * [[:Media:monitor-0.4.zip|monitor-0.4.zip]] (single step code included)
 +</div>
 +</div>
-'''19.Sep.2006''': current version of the ROM monitor:+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;19.Sep.2006''':&nbsp;current version of the ROM monitor:
 +</div>
* [[:Media:monitor-0.3.zip|monitor-0.3.zip]] * [[:Media:monitor-0.3.zip|monitor-0.3.zip]]
 +</div>
 +</div>
-'''13.Sep.2006''': some conversion utilitites:+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;13.Sep.2006''':&nbsp;some conversion utilitites:
 +</div>
* convert Intel HEX to binary: [[:Media:hex2bin-1.0.tar.gz|hex2bin-1.0.tar.gz]] * convert Intel HEX to binary: [[:Media:hex2bin-1.0.tar.gz|hex2bin-1.0.tar.gz]]
* convert binary to Intel HEX: [[:Media:bin2hex-1.0.tar.gz|bin2hex-1.0.tar.gz]] * convert binary to Intel HEX: [[:Media:bin2hex-1.0.tar.gz|bin2hex-1.0.tar.gz]]
Line 22: Line 51:
* the keypad controller VHDL: [[:Media:keypad_ctrl.zip|keypad_ctrl.zip]] * the keypad controller VHDL: [[:Media:keypad_ctrl.zip|keypad_ctrl.zip]]
* the ROM monitor V0.1: [[:Media:monitor-0.1.zip|monitor-0.1.zip]] * the ROM monitor V0.1: [[:Media:monitor-0.1.zip|monitor-0.1.zip]]
 +</div>
 +</div>
-'''7.Sep.2006''':+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;7.Sep.2006''':
 +</div>
* [[:Media:cpu_03.zip|cpu_03.zip]] (added VER instruction) * [[:Media:cpu_03.zip|cpu_03.zip]] (added VER instruction)
 +</div>
 +</div>
-'''6.Sep.2006''':+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;6.Sep.2006''':
 +</div>
* [[:Media:lcd_ctrl.zip|lcd_ctrl.zip]] * [[:Media:lcd_ctrl.zip|lcd_ctrl.zip]]
* [[:Media:uart.zip|uart.zip]] * [[:Media:uart.zip|uart.zip]]
 +</div>
 +</div>
-'''31.Aug.2006''':+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;31.Aug.2006''':
 +</div>
* [[:Media:cpu_02.zip|cpu_02.zip]] * [[:Media:cpu_02.zip|cpu_02.zip]]
 +</div>
 +</div>
-'''27.Jul.2006''':+<div style="border:0; margin: 0.2em 10px 10px;">
 +<div style="background:#f9f9f9; padding:0; border:1px solid #aaaaaa; margin-bottom:5px;">
 +<div style="background:#eeeeee; padding:0.1em; border-bottom:1px solid #aaaaaa;">
 +'''&nbsp;27.Jul.2006''':
 +</div>
* [[:Media:hex2mem-1.0.tar.gz|hex2mem-1.0.tar.gz]] : convert Intel HEX to IPexpress MEM * [[:Media:hex2mem-1.0.tar.gz|hex2mem-1.0.tar.gz]] : convert Intel HEX to IPexpress MEM
 +</div>
 +</div>

Revision as of 10:03, 14 October 2006

 9.Oct.2006: new versions of VHDL modules and ROM monitor (SPI flash controller added):;

 28.Sep.2006: utility to crop off RAM area at the end of the .mem file:

 22.Sep.2006: current version of the ROM monitor:

 19.Sep.2006: current version of the ROM monitor:

 13.Sep.2006: some conversion utilitites:

 7.Sep.2006:

 6.Sep.2006:

 31.Aug.2006:

 27.Jul.2006:

Personal tools